帳號:guest(18.222.67.251)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):邱必芬
作者(外文):Chiu, Pi-Feng
論文名稱(中文):應用於低耗能可攜式裝置之非揮發性8T2R靜態隨機存取式記憶體
論文名稱(外文):A Low Store Energy, Low VDDmin, Nonvolatile 8T2R SRAM with 3D Stacked RRAM Devices for Low Power Mobile Applications
指導教授(中文):張孟凡
指導教授(外文):Chang, Meng-Fan
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電機工程學系
學號:9861546
出版年(民國):99
畢業學年度:98
語文別:英文
論文頁數:71
中文關鍵詞:非揮發性三維堆疊靜態隨機存取記憶體低操作電壓
外文關鍵詞:Nonvolatile3D-stackStatic Random Access Memorylow VDD
相關次數:
  • 推薦推薦:0
  • 點閱點閱:551
  • 評分評分:*****
  • 下載下載:32
  • 收藏收藏:0
在可攜式裝置的晶片中,通常需要動態電壓調變及快速儲存之特色以完成低功耗及穩定的關機程序。許多可攜式裝置的系統使用一個靜態隨機存取式記憶體以達成快速且低電壓之讀寫操作,並另外使用一個內嵌式快閃記憶體做為資料備份。然而,因序列式資料傳輸,使用二個記憶體的方式會造成資料轉輸時間過長。非揮發性靜態隨機存取式記憶體以平行方式傳輸資料,使得資料備份及資料復元時間更短,對可攜式裝置而言是個更好的選擇。
此作品為第一個已驗證之電阻式非揮發性靜態隨機存取記憶體(Rnv8T),其架構由八個電晶體及二個電阻式記憶體元件所組成,可達到快速且低耗能非揮發性儲存動作和低讀寫操作電壓。
此Rnv8T cell 使用可快速儲存且低儲存電流之RRAM元件,三維堆疊於8T cell上方,使其達到低操作耗能與小的cell面積。另外,比傳統SRAM六個電晶體架構多出的二個電晶體,除了作為RRAM開關外還可提供靜態隨機存取式記憶體寫入輔助的功能。由於此寫入輔助功能,我們可調整電晶體大小以防止因元件製程漂移及雜訊影響,在低電壓下讀取失敗的情況。此16千位元Rnv8T晶片已下線驗證其讀寫及儲存/喚回功能,且最低讀寫操作電壓為0.45伏特,在所有非揮發性靜態隨機存取式記憶體與二個記憶體方式中,其儲存耗能及最低讀寫操作電壓最低。
Dynamic voltage scaling (DVS) and fast storage are required for mobile chips to achieve low-power and reliable power-off procedures. Many DVS mobile chips use SRAM for fast/low-VDDmin access and embedded Flash for data-backup. However, the 2-macro approach requires long store time due to serial data transfer. The nonvola-tile-SRAM (nvSRAM) provides a better solution for mobile chips, thanks to theirs fast bit-to-bit parallel data storage.
This work demonstrates the first fabricated macro-level RRAM-based nonvolatile SRAM (nvSRAM) that use a new 8T2R (Rnv8T) cell to achieve fast and low-energy NVM storage and low VDDmin read/write operations.
The Rnv8T cell uses two fast-write low-current RRAM devices, 3D stacked over the 8T, to achieve low store energy with a compact cell area (1.6x that of a 6T cell). A 2T RRAM-switch provides both RRAM control and write-assist functions. This write assist feature enables Rnv8T cell to use read favored transistor sizing against read/write failure at a lower VDD. The fabricated 16Kb Rnv8T macro achieves the lowest store energy and R/W VDDmin (0.45V) than other nvSRAM and “SRAM+NVM” solutions.
Abstract (Chinese) i
Abstract (English) ii
Acknowledgements (Chinese) iii
Content iv
List of Figures vii
List of Tables xi
Chapter 1 Introduction 1
1.1 Memories in SoC 1
1.2 Two-Macro Memory Solution 3
1.3 Nonvolatile SRAM 4
1.4 Thesis Organization 8
Chapter 2 Design Targets 10
2.1 Low Operation Voltage 10
2.1.1 Write Margin (WM) of 6T SRAM 11
2.1.2 Read Static Noise Margin (RSNM) of 6T SRAM 13
2.1.3 Bottleneck of VDDmin 16
2.2 Nonvolatile Memory Device Selection 17
2.3 Leakage Current Elimination 21
Chapter 3 Proposed Rnv8T SRAM Cell Scheme 23
3.1 Resistive Device [31] 23
3.1.1 Device Structure 24
3.1.2 Switching Mechanism 24
3.1.3 1T1R RRAM configuration 25
3.1.4 Comparison of different RRAM devices 26
3.2 Proposed Rnv8T Cell Structure 27
3.3 Proposed Cell Operation 28
3.3.1 Normal (SRAM) Operation 29
3.3.2 Store Operation 30
3.3.3 Restore Operation 31
3.4 Cell Analysis 32
3.4.1 Improvement of Write Speed 32
3.4.2 Improvement of Write Margin (WM) 34
3.4.3 Read Favored Sizing (RFS) 36
3.4.4 VDDmin Reduction 37
3.4.5 Restore Yield 38
3.4.6 Reliability 39
Chapter 4 Performance and Comparisons 41
4.1 Read/Write Performance 41
4.1.1 Write time 42
4.1.2 Write margin (WM) 42
4.1.3 Read Static Noise Margin (RSNM) 43
4.1.4 Minimal Supply Voltage (VDDmin) 44
4.2 Store/Restore Performance 45
4.2.1 Store time and energy consumption 45
4.2.2 Restore time 46
Chapter 5 Implementation 48
5.1 Cell layout 48
5.2 Macro structure 49
5.2.1 Divided Wordline Scheme 50
5.2.2 Timing Control of Replica Bitline Scheme 51
5.2.3 Test Modes 52
5.3 Macro Performance 53
Chapter 6 Experimental Result 55
6.1 Read/Write Operation 56
6.2 STORE/RESTORE Verification 56
6.3 Shmoo Plot 58
Chapter 7 Conclusion 59
7.1 Summary 59
7.2 Future work 61
Reference 63
[1] Meng-Fan Chang, Shin-Jang Shen, “A Process Variation Tolerant Embedded Split-Gate Flash Memory Using Pre-Stable Current Sensing Scheme,” IEEE J. Solid-State Circuits, vol. 44, no. 3, pp. 987-994, March 2009.
[2] Christian E. Herdt, “Nonvolatile SRAM – The Next Generation,” IEEE Nonvola-tile Memory Technology Review, pp. 28-31, 1993.
[3] Tohru Miwa, Junichi Yamada, Hiroki Koike, Hideo Toyoshima, Kazushi Amanuma, Sota Kobayashi, Toru Tatsumi, Yukihiko Maejima, Hiromitsu Hada, and Takemitsu Kunio, “NV-SRAM: A Nonvolatile SRAM with Backup Ferroelectric Capacitor,” IEEE J. Solid-State Circuits, vol. 36, no. 3, pp. 522-527, March 2001.
[4] Tohru Miwa, Junichi Yamada, Hiroki Koike, Takeshi Nakura, Sota Kobayashi, Naoki Kasai, and Hideo Toyoshima, “A 512 Kbit low-voltage NV-SRAM with the size of a conventional SRAM,” in IEEE Symp. VLSI Circuits Dig. Tech. Papers, pp. 129-132, June 2001.
[5] Wei Wang, Aaron Gibby, Zheng Wang, Tze Wee Chen, Shinobu Fujita, Peter Grif-fin, Yoshio Nishi, and Simon Wong, “Nonvolatile SRAM Cell,” in Int. Electron Devices Meeting (IEDM) Tech. Dig. Papers, pp. 1-4, Dec. 2006.
[6] Xiaoyong Xue, Gang Jin, Ji Zhang, Le Xu, Yiqing Ding, Yufeng Xie, Changhong Zhao, B. A. Chen, and Yinyin Lin, “Nonvolatile SRAM cell based on CuxO,” in Int. Conference on Solid-State and Integrated-Circuit Technology (ICSICT) Tech. Dig. Papers, pp. 869-871, 2008.
[7] Masashi Takata, Kazuya Nakayama, Takatomi Izumi, Toru Shinmura, Junichi Akita and Akio Kitagawa, “Nonvolatile SRAM base on Phase Change,” in IEEE Non-Volatile Semiconductor Memory Workshop, pp. 95-96, 2006.
[8] Michael Fliesler, David Still, and Jeong-Mo Hwang, “A 15ns 4Mb NVSRAM in 0.13u SONOS Technology,” in IEEE Non-Volatile Semiconductor Memory Work-shop, pp. 83-86, 2008.
[9] Yusuke Shuto, Shuu’ichirou Yamamoto, and Satoshi Sugahara, “Nonvolatile Static Random Access Memory Based on Spin-transistor Architecture,” J. Appl. Phys., vol. 105, issue 7, pp. 105-107, 2009.
[10] Shuu’ichirou Yamamoto, Yusuke Shuto, and Satoshi Sugahara, “Nonvolatile SRAM (NV-SRAM) Using Functional MOSFET Merged with Resistive Switching Devices,” in IEEE Custom Integrated Circuits Conference (CICC) Tech. Dig. Pa-pers, pp. 531-534, 2009.
[11] Noboru Sakimura, Tadahiko Sugibayashi, Ryusuke Nebashi, and Naoki Kasai, “Nonvolatile Magnetic Flip-Flop for Standby-Power-Free SoCs,” IEEE J. Sol-id-State Circuits, vol. 44, no. 8, pp. 2244-2250, Aug. 2009.
[12] Weisheng Zhao, Eric Belhaire, Claude Chappert, and Pascale Mazoyer, “Spintronic device based Non-volatile Low standby power SRAM,” in IEEE Computer Society Annual Symposium on VLSI, pp. 40-45, 2008.
[13] Evert Seevinck, Frans J. List, and Jan Lohstroh, “Static-Noise Margin Analysis of MOS SRAM Cells,” IEEE J. Solid-State Circuits, vol. 22, no. 5, pp. 748-754, Aug. 1987.
[14] K. Takeda. Y. Hagihara, Y. Aimoto, M. Nomura, Y. Nakazawa, T. Ishii, and H. Ko-batake, “A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications,” IEEE J. Solid-State Circuits, vol. 41, pp. 113-121, Jan. 2006.
[15] L. Chang, D. Fried, J. Hergenrother, J. Sleight, R. Dennard, R. Montoye, L. Sekar-ic, S. McNab, A. Topol, C. Adams, K. Guarini, and W. Haensch, “Stable SRAM cell design for the 32 nm node and beyond,” in IEEE Symposium on VLSI Tech-nology Dig. Of Tech. Papers, pp. 128-129, June 2005.
[16] B. H. Calhoun and A. P. Chandrakasan, “A 256-kb 65-nm Sub-threshold SRAM Design for Ultra-Low-Voltage Operation,” IEEE J. Solid-State Circuits, vol. 42, pp. 680-688, March 2007.
[17] I. J. Chang, J.-J. Kim, S. Park, and K. Roy, “A 32 kb 10T Sub-Threshold SRAM Array With Bit-Interleaving and Differential Read Scheme in 90nm CMOS,” IEEE J. Solid-State Circuits, vol. 44, pp. 650-658, Feb. 2009.
[18] M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Ohbayashi, Y. Nakase, and H. Shinohara, “ A 45-nm 0.6V corss-point 8T SRAM with negative biased read/write assist,” in IEEE Symposium on VLSI Circuits Dig. Tech. Papers, pp. 158-159, June 2009.
[19] T.-H. Kim, J. Liu, J. Keane, and C. Kim, “A 0.2 V, 480kb Subthreshold SRAM With 1k Cells per Bitline for Ultra-Low-Voltage Computing,” IEEE J. Solid-State Circuits, vol. 43, pp. 518-529, Feb. 2008.
[20] Ken Takeuchi, “Novel Co-Design of NAND Flash Memory and NAND Flash Controller Circuits for Sub-30 nm Low-Power High-Speed Solid-State Drives (SSD),” IEEE J. Solid-State Circuits, vol. 44, no. 4, pp. 1227-1234, April 2009.
[21] Clinton Kuo, Mark Weidner, Thomas Toms, Henry Choe, Ko-Min Chang, Ann Harwood, Joseph Jelemensky, and Philip Smith, “A 512-kb Flash EEPROM Em-bedded in a 32-b Microcontroller,” IEEE J. Solid-State Circuits, vol. 27, no. 4, pp. 574-582, April 1992.
[22] Myoung-Kyu Seo, Soung-Hoon Sim, Myoung-Hee Oh, Hyo-Sang Lee, Sang-Won Kim, In-Wook Cho, Gyu-Hong Kim, and Monn-Gone Kim, “A 130-nm 0.9-V 66-MHz 8-Mb (256K × 32) local SONOS Embedded Flash EEPROM,” IEEE J. Solid-State Circuits, vol. 40, no. 4, pp. 877-883, April 2005.
[23] Hidehiro Shiga et al., “A 1.6 GB/s DDR2 128 Mb Chain FeRAM With Scalable Octal Bitline and Sensing Schemes,” IEEE J. Solid-State Circuits, vol. 45, no. 1, pp. 142-152, Jan. 2010.
[24] Joseph J. Nahas, Thomas W. Andre, Brad Garni, Chitra Subramanian, Hal Lin, Syed M. Alam, Ken Papworth, and William L. Martino, “A 180 Kbit Embeddable MRAM Memory Module,” IEEE J. Solid-State Circuits, vol. 43, no. 8, pp. 1826-1834, Aug. 2008.
[25] Noboru Sakimura, Tadahiko Sugibayashi, Takeshi Honda, Hiroaki Honjo, Shinsaku Saito, Tetsuhiro Suzuki, Nobuyuki Ishiwata, and Shuichi Tahara, “MRAM Cell Technology for Over 500-MHz SoC,” IEEE J. Solid-State Circuits, vol. 42, no. 2, pp. 830-838, April 2007.
[26] Riichiro Takemura, Takayuki Kawahara, Katsuya Muira, Hiroyuki Yamamoto, Jun Hayakawa, Nozomu Matsuzaki, Kazuo Ono, Michihiko Yamanouchi, Kenchi Ito, Hiromasa Takahashi, Shoji Ikeda, Haruhiro Hasegawa, Hideyuki Matsuoka, and Hideo Ohno, “A 32-Mb SPRAM With 2T1R Memory Cell, Localized Bi-Directional Write Driver and ‘1’/’0’ Dual-Array Equalized Reference Scheme,” IEEE J. Solid-State Circuits, vol. 45, no. 4, pp. 869-879, April 2010.
[27] Ferdinando Bedeschi, Roberto Bez, Chiara Boffino, Edoardo Bonizzoni, Egidio Cassiodoro Buda, Giulio Casagrande, Lucio Costa, Marco Ferraro, Roberto Gas-taldi, Osama Khouri, Federica Ottogalli, Fabio Pellizzer, Agostino Pirovano, Clau-dio Resta, Guido Torelli, and Marina Tosi, “4-Mb MOSFET-Selected μTrench Phase-Change Memory Experimental Chip,” IEEE J. Solid-State Circuits, vol. 40, no. 7, pp. 1557-1565, July 2005.
[28] Kwang-Jin Lee, Beak-Hyung Cho, Woo-Yeong Cho, Sangbeom Kang, Byung-Gil Choi, Hyung-Rok Oh, Chang-Soo Lee, Hye-Jin Kim, Joon-Min Park, Qi Wang, Mu-Hui Park, Yu-Hwan Ro, Joon-Yong Choi, Ki-Sung Kim, Young-Ran Kim, In-Cheol Shin, Ki-Won Lim, Ho-Keun Cho, Chang-Han Choi, Won-Ryul Chung, Du-Eung Kim, Yong-Jin Yoon, Kwang-Suk Yu, Gi-Tae Jeong, Hong-Sik Jeong, Choong-Keun Kwak, Chang-Hyun Kim, and Kinam Kim, “A 90 nm 1.8 V 512 Mb Diode-Switch PRAM With 266 MB/s Read Throughput,” IEEE J. Solid-State Cir-cuits, vol. 43, no. 1, pp. 150-161, Jan. 2008.
[29] Ferdinando Bedeschi, Rich Fackenthal, Claudio Resta, Enzo Michele Donzè, Meenatchi Jagasivamani, Egidio Cassiodoro Buda, Fabio Pellizzer, David W. Chow, Alessandro Cabrini, Giacomo Matteo Angelo Calvi, Roberto Faravelli, Andrea Fantini, Guido Torelli, Duane Mills, Roberto Gastaldi, and Giulio Casagrande, “A Bipolar-Selected Phase Change Memory Featuring Multi-Level Cell Storage,” IEEE J. Solid-State Circuits, vol. 44, no. 1, pp. 217-226, Jan. 2009.
[30] D.-H. Kang, J.-H. Lee, J.H. Kong, D. Ha, J. Yu, C.Y. Um, J.H. Park, F. Yeung, J.H. Kim, W.I. Park, Y.J. Jeon, M.K. Lee, J.H. Park, Y.J. Song, J.H. Oh, G.T. Jeong, and H.S. Jeong, “Two-bit Cell Operation in Diode-Switch Phase Change Memory Cells with 90nm Technology,” in IEEE Symposium on VLSI Technology Dig. Of Tech. Papers, pp. 98-99, June 2008.
[31] H. Y. Lee, P. S. Chen, T. Y. Wu, Y. S. Chen, C. C. Wang, P. J. Tzeng, C. H. Lin , F. Chen, C. H Lien, and M.-J. Tsai, “Low Power and High Speed Bipolar Switching with A Thin Reactive Ti Buffer Layer in Robust HfO2 Based RRAM,” in Int. Elec-tron Devices Meeting (IEDM) Tech. Dig. Papers, pp. 1–4, Dec. 2008.
[32] Yu-Sheng Chen, Tai-Yuan Wu, Pei-Jer Tzeng, Pang-Shiu Chen, Heng-Yuan Lee, Cha-Hsin Lin, Frederick Chen, and Ming-Jinn Tsai, “Forming-free HfO2 Bipolar RRAM Device with Improved Endurance and High Speed Operation,” in Int. Symposium on VLSI Technology, Systems, and Applications (VLSI-TSA) Tech. Dig. Papers, pp. 37-38, April 2009.
[33] Y. S. Chen, H. Y. Lee, P. S. Chen, P. Y. Gu, C. W. Chen, W. P. Lin, W. H. Liu, Y. Y. Hsu, S. S. Sheu, P. C. Chiang, W. S. Chen, F. T. Chen, C. H. Lien, and M.-J. Tsai, “Highly Scalable Hafnium Oxide Memory with Improvements of Resistive Distri-bution and Read Disturb Immunity,” in Int. Electron Devices Meeting (IEDM) Tech. Dig. Papers, pp. 1–4, Dec. 2009.
[34] Heng-Yuan Lee, Yu-Sheng Chen, Pang-Shiu Chen, Pei-Yi Gu, Yen-Ya Hsu, Wen-Hsin Liu, Wei-Su Chen, Chen-Han Tsai, Frederick Chen, Chen-Hsin Lien, and Ming-Jinn Tsai, “Comprehensively study of read disturb immunity and optimal read scheme for high speed HfOx based RRAM with a Ti layer,” in Int. Symposium on VLSI Technology, Systems, and Applications (VLSI-TSA) Tech. Dig. Papers, pp. 132-133, April 2010.
[35] C. Cagli, D. Ielmini, F. Nardi and A. L. Lacaita, “Evidence for threshold switching in the set process of NiO-based RRAM and physical modeling for set, reset, reten-tion and disturb prediction,” in Int. Electron Devices Meeting (IEDM) Tech. Dig. Papers, pp. 1–4, Dec. 2008.
[36] U. Russo, D. Ielmini, C. Cagli, A. L. Lacaita, S. Spiga, C. Wiemer, M. Perego, and M. Fanciulli, “Conductive-filament switching analysis and self-accelerated thermal dissolution model for reset in NiO-based RRAM,” in Int. Electron Devices Meeting (IEDM) Tech. Dig. Papers, pp. 775-778, Dec. 2007.
[37] R. Stanley Williams, “How We Found the Missing Memristor” IEEE Spectrum, vol. 45, issue 12, pp. 28-35, 2008.
[38] K. Tsunoda, K. Kinoshita, H. Noshiro, Y. Yamazaki, T. Iizuka, Y. Ito, A. Takahashi, A. Okano, Y. Sato, T. Fukano, M. Aoki, and Y. Sugiyama, “Low Power and High Speed Switching of Ti-doped NiO ReRAM under the Unipolar Voltage Source of Less than 3V,” in Int. Electron Devices Meeting (IEDM) Tech. Dig. Papers, pp. 767–770, Dec. 2007.
[39] Y. Hosoi, Y. Tamai, T. Ohnishi, K. Ishihara, T. Shibuya, Y. Inoue, S. Yamazaki, T. Nakano, S. Ohnishi, N. Awaya, I. H. Shima, H. Akinaga, H. Takagi, H. Akoh, and Y. Tokura, “High Speed Unipolar Switching Resistance RAM (RRAM) Technolo-gy,” in Int. Electron Devices Meeting (IEDM) Tech. Dig. Papers, pp. 1-4, Dec. 2006.
[40] M.-J. Lee, C. B. Lee, S. Kim, H. Yin, J. Park, S. E. Ahn, B. S. Kang, K. H. Kim, G. Stefanovich, I. Song, S. W. Kim, J. H. Lee, S. J. Chung, Y. H. Kim, C. S. Lee, J. B. Park, I. G. Baek, C. J. Kim, and Y. Park, “Stack Friendly All-Oxide 3D RRAM us-ing GaInZnO Peripheral TFT realized over Glass Substrates,” in Int. Electron De-vices Meeting (IEDM) Tech. Dig. Papers, pp. 1-4, Dec. 2008.
[41] Seung-Eon Ahn, Bo Soo Kang, Ki Hwan Kim, Myoung-Jae Lee, Chang Bum Lee, Genrikh Stefanovich, Chang Jung Kim, and Youngsoo Park, “Stackable All-Oxide-Based Nonvolatile Memory With Al2O3 Antifuse and p-CuOx/n-InZnOx Diode,” IEEE Electron Device Letter, vol. 30, no. 5, pp. 550-552, May 2009.
[42] Myoung-Jae Lee, Youngsoo Park, Bo-Soo Kang, Seung-Eon Ahn, Changbum Lee, Kihwan Kim, Wenxu, Xianyu, G. Stefanovich, Jung-Hyun Lee, Seok-Jae Chung, Yeon-Hee Kim, Chang-Soo Lee, Jong-Bong Park, In-Gyu Baek, and In-Kyeong Yoo, “2-stack 1D-1R Cross-point Structure with Oxide Diodes as Switch Elements for High Density Resistance RAM Applications,” in Int. Electron Devices Meeting (IEDM) Tech. Dig. Papers, pp. 771-774, Dec. 2009.
[43] Rene Meyer, Lawrence Schloss, Julie Brewer, Roy Lambertson, Wayne Kinney, John Sanchez, and Darrell Rinerson, “Oxide Dual-Layer Memory Element for Scalable Non-Volatile Cross-Point Memory Technology,” in Symp. Nonvolatile Memory Technology Dig. Tech. Papers, pp. 1-5, Nov. 2008.
[44] W. C. Chien, Y. C. Chen, K. P. Chang, E. K. Lai, Y. D. Yao, P. Lin, J. Gong, S. C. Tsai, S. H. Hsieh, C. F. Chen, K. Y. Hsieh, R. Liu, and Chih-Yuan Lu, “Multi-Level Operation of Fully CMOS Compatible WOx Resistive Random Access Memory (RRAM),” in IEEE International Memory Workshop, pp. 1-2, May 2009.
[45] Yuan Heng Tseng, Chia-En Huang, C.-H Kuo, Y.-D Chih, and Chrong Jung Lin, “High Density and Ultra Small Cell Size of Contact ReRAM (CR-RAM) in 90nm CMOS Logic Technology and Circuits,” in Int. Electron Devices Meeting (IEDM) Tech. Dig. Papers, pp. 1-4, Dec. 2009.
[46] Shyh-Shyuan Shew, Pei-Chia Chiang, Heng-Yuan Lee, Pang-Shiu Chen, Yu-Sheng Chen, Tai-Yuan Wu, Frederick T. Chen, Keng-Li Su, Ming-Jer Kao, Kuo-Hsing Cheng, and Ming-Jinn Tsai, “A 5ns Fast Write Multi-Level Non-Volatile 1 K bits RRAM Memory with Advance Write Scheme,” in Int. Electron Devices Meeting (IEDM) Tech. Dig. Papers, pp. 1-4, Dec. 2009.
[47] Christophe J Chevallier, Chang Hua Siau, Seow Fong Lim, Sri Rama Namala, Mi-sako Matsuoka, Bruce L Bateman, Darrell Rinerson, “A 0.13μm 64Mb Mul-ti-Layered Conductive Metal-Oxide Memory” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, pp. 260-261, Feb. 2010.
[48] R. Nebashi, N. Sakimura, H. Honjo, S. Saito, Y. Ito, S. Miura, Y. Kato, K. Mori, Y. Ozaki, Y. Kobayashi, N. Ohshima, K. Kinoshita, T. Suzuki, K. Nagahara, N. Ishi-wata, K. Suemitsu, S. Fukami, H. Hada, T. Sugibayashi, and N. Kasai, “A 90nm 12ns 32Mb 2T1MTJ MRAM,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Paper, pp. 462-463 s, Feb. 2009.
[49] Daisuke Suzuki, Masanori Natsui, Shoji Ikeda, Haruhiro Hasegawa, Katsuya Miura, Jun Hayakawa, Tetsuo Endoh, Hideo Ohno, and Takahiro Hanyu, “Fabrication of a Nonvolatile Lookup-Table Circuit Chip Using Magneto/ Semiconductor-Hybrid Structure for an Immediate-Power-Up Field Programmble Gate Array,” in IEEE Symp. VLSI Circuits Dig. Tech. Papers, pp. 80-81, June 2009.
[50] Koji Nii, Hiroshi Makino, Yoshiki Tujuhashi, Chikayoshi Morishima, Yasushi Hayakawa, Hiroyuki Nunogami, Takahiko Arakawa, and Hisanori Hamano, “A Low Power SRAM using Auto-Backgate-Controlled MT-CMOS,” in International Symposium on Low Power Electronics and Design, pp. 293-298, Aug. 1998.
[51] Chikayoshi Morishima, Koji Nii, Yoshiki Tsujihashi, Yasushi Hayakawa, and Hi-roshi Makino, “A 1-V 20-ns 512-Kbit MT-CMOS SRAM with Auto-Power-Cut Scheme Using Dummy Memory Cells,” in European Solid-State Circuit Confe-rence , pp. 452-455, Sept. 1998.
[52] Satoshi Shigematsu, Shin’ichiro Mutoh, Yasuyuki Matsuya, Yasuyuki Tanabe, and Junzo Yamada, “A 1-V High-Speed MTCMOS Circuit Scheme for Power-Down Application Circuits,” IEEE J. Solid-State Circuits, vol. 32, no. 6, pp. 861-869, June 1997.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *