帳號:guest(3.236.217.172)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):林谷峰
作者(外文):Lin, Ku-Feng
論文名稱(中文):應用於電阻式隨機存取記憶體之製程變異容忍感測電路
論文名稱(外文):A Process Variation Tolerant Sensing Circuit for RRAM
指導教授(中文):張孟凡
指導教授(外文):Chang, Meng-Fan
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電機工程學系
學號:9761566
出版年(民國):99
畢業學年度:98
語文別:英文
論文頁數:69
中文關鍵詞:電阻式記憶體感測放大器製程變異容忍
外文關鍵詞:RRAMSense AmplifierProcess Variation Tolerant
相關次數:
  • 推薦推薦:0
  • 點閱點閱:169
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
近年來,可攜式裝置對於讀取操作速度要求越來越高,一些新興的非揮發性記憶體有著克服快閃記憶體之寫入效能和製程微縮限制的潛力,其中,電阻式隨機存取記憶體的寫入時間能夠少於十奈秒,如此一來,讀取時間將成為高效能應用的瓶頸。此外,電阻式記憶體細胞的讀取電壓必須低於零點三伏特以避免干擾的現象發生,但傳統電流感測讀取電路的位元線箝制電壓容易受到製程和溫度的影響。另外,參考細胞阻值變異會造成參考電流漂移而導致讀取失敗。
本論文中,我們提出一個製程變異容忍感測讀取電路,具有偵測並補償製程和溫度變異能力避免讀取干擾、窄分布參考電流產生機制減少讀取失敗的發生機率以及位元線充電速度提升機制縮短位元線讀取時的充電時間。藉由以上我們提出的方法和機制來達到高速、可靠的讀取操作。
我們製作了一個四百萬位元非同步電阻式記憶體之完整功能電路,使用零點一八微米互補金氧半技術以及工研院的電阻元件技術,讀取電路操作電壓為一點八伏特。我們量測兩千零四十八個記憶細胞和五百一十二組參考電流產生器,相較之下,提出之參考電流產生器變異量減少百分之四十五。在四百萬位元電路中量測到的讀取時間在隨機讀取操作與連續讀取操作下分別為七點二奈秒與三點六奈秒。
In recent years, higher and higher read/write speed is also required for high-performance portable equipment. Emerging NVMs have the potential to overcome the write performance and scalability limits of currently dominant Flash memories. The write time of RRAM can be less than 10ns, the read time will be bottleneck for high-speed applications. Besides, the read BL bias of RRAM cells has to be lower than 0.3V to prevent disturb, however, BL voltage of conventional current sensing circuit is sensitive to process and temperature variations. In addition, the reference cell resistance variation will cause read failure due to reference current variation.
In this work, we propose a process variation tolerant read circuits featuring detection and compensation of process and temperature variations to avoid read disturbance, narrow-distribution reference generation scheme reduces the probability of read failure, and BL charging speed enhancement scheme shortens BL charging time. The proposed schemes enable high-speed and reliable read operation.
An asynchronous 4M-bit RRAM testchip were fabricated in 0.18μm, 5-metal CMOS technology and ITRI resistive device technology with a nominal supply voltage of 1.8V for read circuits. We measured the current distribution of 2048 cells and 512 reference generators, the reduction of reference current variation is about 45% compared to normal LRS cell current distribution. The measured read time of random access and burst access are 7.2ns and 3.6ns respectively.
Abstract (Chinese)...i
Abstract (English)...ii
Acknowledgement (Chinese)...iii
Contents...iv
List of Figures...vi
List of Tables...x
Acronyms and Terms Definition...xi
Chapter 1 Introduction...1
1.1 Challenges of Flash Memory Scaling Down...1
1.2 Emerging Non-Volatile Memories...2
1.3 High-Speed Access for RRAM...5
1.4 Thesis Organization...6
Chapter 2 Characteristic of RRAM Cell...7
2.1 Material and Structure...7
2.2 Switching Mechanism...8
2.3 Write Operation...8
2.4 Read Operation ...9
2.5 Resistance Variation of RRAM Cell...10
Chapter 3 Design Challenge of Sensing RRAM...12
3.1 Low Read BL Bias Clamping...12
3.1.1 Structure and Operation of Conventional Sensing Schemes...12
3.1.2 Read-Speed Comparisons between Conventional Schemes...17
3.1.3 Read BL Bias Stability...22
3.1.4 Previous Work...24
3.2 Reference Current Variation...27
3.2.1 Conventional Reference Current Generation...28
3.2.2 Previous Work...29
Chapter 4 Proposed Sensing Scheme...33
4.1 Proposed Sensing Circuit Architecture...33
4.2 P & T Tracking BL Bias Circuit...34
4.2.1 Process and Temperature Detector...34
4.2.2 Process and Temperature Compensated Feedback Amplifier...37
4.2.3 BL Charging Speed Enhancement Scheme...39
4.3 Narrow-Distribution Reference Generation...43
Chapter 5 Analyses and Comparisons...46
5.1 Read Speed Improvement...46
5.2 Read BL Bias Variation...48
5.3 Reference Current Distribution...50
Chapter 6 Macro Implementation...54
6.1 Architecture of RRAM Macro...54
6.2 Read Path Design...55
6.2.1 Replica Column...55
6.2.2 Column R/W MUX...55
6.3 Test Chip Design...56
Chapter 7 Experimental Results and Conclusions...58
7.1 Performance Measurement...58
7.1.1 Cell Current Distribution...58
7.1.2 Read Function and Access Time...59
7.2 Conclusions and Future Work...60
Reference ...63
[1] R. Bez, E. Camerlenghi, A. Modelli, and A. Visconti, "Introduction to flash memory," Proc. IEEE, vol. 91, pp. 489-502, 2003.
[2] K. Kim and G. H. Koh, "Future memory technology including emerging new memories," in International Microelectronics Conference, pp. 377-384 vol.1, 2004.
[3] S. YunSeung, “Non-volatile memory technologies for beyond 2010,” in IEEE Symp.VLSI Technology Dig. Tech. Papers, pp. 156-159, 2005.
[4] T. Maeda, K. Itagaki, T. Hishida, R. Katsumata, M. Kito, Y. Fukuzumi, M. Kido, H. Tanaka, Y. Komori, M. Ishiduki, J. Matsunami, T. Fujiwara, H. Aochi, Y. Iwata, and Y. Watanabe, "Multi-stacked 1G cell/layer Pipe-shaped BiCS flash memory," in IEEE Symp.VLSI Circuits Dig. Tech. Papers, pp. 22-23, 2009.
[5] R. A. Cernea, P. Long, F. Moogat, C. Siu, L. Binh, L. Yan, T. Shouchang, T. Tai-Yuan, N. Khanh, J. Li, H. Jayson, Y. Jong Hak, C. Hsu, Z. Fanglin, T. Kamei, H. Nasu, P. Kliza, H. Khin, J. Lutze, D. Yingda, M. Higashitani, Y. Junnhui, L. Hung-Szu, V. Sakhamuri, A. Li, P. Feng, S. Yadala, S. Taigor, K. Pradhan, J. Lan, C. James, T. Abe, Y. Fukuda, H. Mukai, K. Kawakami, C. Liang, T. Ip, C. Shu-Fen, J. Lakshmipathi, S. Huynh, D. Pantelakis, M. Mofidi, and K. Quader, “A 34 MB/s MLC write throughput 16 Gb NAND with all bit line architecture on 56 nm technology,” IEEE J. Solid-State Circuits, vol. 44, pp. 186-194, 2009.
[6] J. Javanifard, T. Tanadi, H. Giduturi, K. Loe, R. L. Melcher, S. Khabiri, N. T. Hendrickson, A. D. Proescholdt, D. A. Ward, and M. A. Taylor, “A 45nm self-aligned-contact process 1Gb NOR flash with 5MB/s program speed,” in IEEE International Solid-State Circuits Conference Dig. Tech. Papers, pp. 424-624, 2008.
[7] R. Takemura, T. Kawahara, K. Miura, H. Yamamoto, J. Hayakawa, N. Matsuzaki, K. Ono, M. Yamanouchi, K. Ito, H. Takahashi, S. Ikeda, H. Hasegawa, H. Matsuoka, and H. Ohno, “A 32-Mb SPRAM With 2T1R Memory Cell, Localized Bi-Directional Write Driver and ‘1’ / ‘0’ Dual-Array Equalized Reference Scheme,” IEEE J. Solid-State Circuits, vol. 45, pp. 869-879, Apr. 2010.
[8] M. Durlam, P. J. Naji, A. Omair, M. DeHerrera, J. Calder, J. M. Slaughter, B. N. Engel, N. D. Rizzo, G. Grynkewich, B. Butcher, C. Tracy, K. Smith, K. W. Kyler, J. J. Ren, J. A. Molla, W. A. Feil, R. G. Williams, and S. Tehrani, “A 1-Mbit MRAM based on 1T1MTJ bit cell integrated with copper interconnects,” IEEE J. Solid-State Circuits, vol. 38, pp. 769-773, May 2003.
[9] D. Gogl, C. Arndt, J. C. Barwin, A. Bette, J. DeBrosse, E. Gow, H. Hoenigschmid, S. Lammers, M. Lamorey, L. Yu, T. Maffitt, K. Maloney, W. Obermaier, A. Sturm, H. Viehmann, D. Willmott, M. Wood, W. J. Gallagher, G. Mueller, and A. R. Sitaram, “A 16-Mb MRAM featuring bootstrapped write drivers,” IEEE J. Solid-State Circuits, vol. 40, pp. 902-908, Apr. 2005.
[10] J. J. Nahas, T. W. Andre, B. Garni, C. Subramanian, H. Lin, S. M. Alam, K. Papworth, and W. L. Martino, "A 180 Kbit Embeddable MRAM Memory Module," IEEE J. Solid-State Circuits, vol. 43, pp. 1826-1834, 2008.
[11] D. Halupka, S. Huda, W. Song, A. Sheikholeslami, K. Tsunoda, C. Yoshida, and M. Aoki, “Negative-resistance read and write schemes for STT-MRAM in 0.13μm CMOS,” in IEEE International Solid-State Circuits Conference Dig. Tech. Papers, pp. 256-257, 2010.
[12] M. Gill, T. Lowrey, and J. Park, "Ovonic unified memory - a high-performance nonvolatile memory technology for stand-alone memory and embedded applications," in IEEE International Solid-State Circuits Conference Dig. Tech. Papers, pp. 202-459 vol.1, 2002.
[13] F. Bedeschi, R. Bez, C. Boffino, E. Bonizzoni, E. C. Buda, G. Casagrande, L. Costa, M. Ferraro, R. Gastaldi, O. Khouri, F. Ottogalli, F. Pellizzer, A. Pirovano, C. Resta, G. Torelli, and M. Tosi, “4-Mb MOSFET-selected μtrench phase-change memory experimental chip,” IEEE J. Solid-State Circuits, vol. 40, pp. 1557-1565, 2005.
[14] C. Woo Yeong, C. Beak-Hyung, C. Byung-Gil, O. Hyung-Rok, K. Sangbeom, K. Ki-Sung, K. Kyung-Hee, K. Du-Eung, K. Choong-Keun, B. Hyun-Geun, H. Youngnam, S. Ahn, K. Gwan-Hyeob, J. Gitae, J. Hongsik, and K. Kinam, “A 0.18μm 3.0-V 64-Mb nonvolatile phase-transition random access memory (PRAM),” IEEE J. Solid-State Circuits, vol. 40, pp. 293-300, 2005.
[15] O. Hyung-rok, C. Beak-hyung, C. Woo Yeong, K. Sangbeom, C. Byung-gil, K. Hye-jin, K. Ki-sung, K. Du-eung, K. Choong-keun, B. Hyun-geun, J. Gi-tae, J. Hong-sik, and K. Kinam, “Enhanced write performance of a 64-mb phase-change random access memory,” IEEE J. Solid-State Circuits, vol. 41, pp. 122-126, 2006.
[16] S. Kang, W. Y. Cho, B. H. Cho, K. J. Lee, C. S. Lee, H. R. Oh, B. G. Choi, Q. Wang, H. J. Kim, M. H. Park, Y. H. Ro, S. Kim, C. D. Ha, K. S. Kim, Y. R. Kim, D. E. Kim, C. K. Kwak, H. G. Byun, G. Jeong, H. Jeong, K. Kim, and Y. Shin, "A 0.1μm 1.8-V 256-Mb phase-change random access memory (PRAM) with 66-MHz synchronous burst-Read operation," IEEE J. Solid-State Circuits, vol. 42, pp. 210-218, 2007.
[17] N. K. S. Hanzawa, K. Osada, A. Kotabe, Y. Matsui, N. Matsuzaki, N. Takaura, M. Moniwa, T. Kawahara, “A 512kB embedded phase change memory with 416kB/s write throughput at 100μA cell write current,” in IEEE International Solid-State Circuits Conference Dig. Tech. Papers, pp. 474-616, 2007.
[18] L. Kwang-Jin, C. Beak-Hyung, C. Woo-Yeong, K. Sangbeom, C. Byung-Gil, O. Hyung-Rok, L. Chang-Soo, K. Hye-Jin, P. Joon-Min, W. Qi, P. Mu-Hui, R. Yu-Hwan, C. Joon-Yong, K. Ki-Sung, K. Young-Ran, S. In-Cheol, L. Ki-Won, C. Ho-Keun, C. Chang-Han, C. Won-Ryul, K. Du-Eung, Y. Yong-Jin, Y. Kwang-Suk, J. Gi-Tae, J. Hong-Sik, K. Choong-Keun, K. Chang-Hyun, and K. Kinam, “A 90nm 1.8V 512Mb diode-switch PRAM with 266 MB/s read throughput,” IEEE J. Solid-State Circuits, vol. 43, pp. 150-162, 2008.
[19] F. Bedeschi, R. Fackenthal, C. Resta, E. M. Donze, M. Jagasivamani, E. C. Buda, F. Pellizzer, D. W. Chow, A. Cabrini, G. Calvi, R. Faravelli, A. Fantini, G. Torelli, D. Mills, R. Gastaldi, and G. Casagrande, “A Bipolar-Selected Phase Change Memory Featuring Multi-Level Cell Storage,” IEEE J. Solid-State Circuits, vol. 44, pp. 217-227, 2009.
[20] K. Tsuchida, T. Inaba, K. Fujita, Y. Ueda, T. Shimizu, Y. Asao, T. Kajiyama, M. Iwayama, K. Sugiura, S. Ikegawa, T. Kishi, T. Kai, M. Amano, N. Shimomura, H. Yoda, and Y. Watanabe, "A 64Mb MRAM with clamped-reference and adequate-reference schemes," in IEEE International Solid-State Circuits Conference Dig. Tech. Papers, pp. 258-259, 2010.
[21] G. De Sandre, L. Bettini, A. Pirola, L. Marmonier, M. Pasotti, M. Borghi, P. Mattavelli, P. Zuliani, L. Scotti, G. Mastracchio, F. Bedeschi, R. Gastaldi, and R. Bez, “A 90nm 4Mb embedded phase-change memory with 1.2V 12ns read access time and 1MB/s write throughput,” in IEEE International Solid-State Circuits Conference Dig. Tech. Papers, pp. 268-269, 2010.
[22] A. Sheikholeslami and P. G. Gulak, “A survey of circuit innovations in ferroelectric random-access memories,” Proc. IEEE, vol. 88, pp. 667-689, 2000.
[23] R. Ogiwara, S. Tanaka, Y. Itoh, T. Miyakawa, Y. Takeuchi, S. M. Doumae, H. Takenaka, I. Kunishima, S. Shuto, O. Hidaka, and S. Ohtsuki, “A 0.5μm, 3-V 1T1C, 1-Mbit FRAM with a variable reference bit-line voltage scheme using a fatigue-free reference capacitor," IEEE J. Solid-State Circuits, vol. 35, pp. 545-551, 2000.
[24] Daisaburo Takashima, Yasushi Nagadomi and Tohru Ozaki, “A 100MHz ladder FeRAM design with capacitance-coupled-bitline (CCB) Cell,” in IEEE Symp.VLSI Circuits Dig. Tech. Papers, pp. 227-228, Jun. 2010.
[25] S. Dietrich, M. Angerbauer, M. Ivanov, D. Gogl, H. Hoenigschmid, M. Kund, C. Liaw, M. Markert, R. Symanczyk, L. Altimime, S. Bournat, and G. Mueller, “A nonvolatile 2-Mbit CBRAM memory core featuring advanced read and program control,” IEEE J. Solid-State Circuits, vol. 42, pp. 839-845, Apr. 2007.
[26] S.-S. Sheu, P.-C. Chiang, W.-P. Lin, H.-Y. Lee, P.-S. Chen, Y.-S. Chen, T.-Y. Wu, F. T. Chen, K.-L. Su, M.-J. Kao, K.-H. Cheng, and M.-J. Tsai, “A 5ns fast write multi-level non-volatile 1 K bits RRAM memory with advance write scheme,” in IEEE Symp.VLSI Circuits Dig. Tech. Papers, pp. 82-83, Jun. 2009.
[27] K. Imamiya, J. Miyamoto, S. Atsumi, N. Ohtsuka, Y. Muroya, T. Sako, M. Higashino, Y. Iyama, S. Mori, Y. Ohshima, H. Araki, Y. Kaneko, K. Narita, N. Arai, K. Yoshikawa, and S. Tanaka, “A 68-ns 4-Mbit CMOS EPROM with high-noise-immunity design,” IEEE J. Solid-State Circuits, vol. 25, pp. 72-78, Jan. 1990.
[28] T. N. Blalock and R. C. Jaeger, “A high-speed clamped bit-line current-mode sense amplifier,” IEEE J. Solid-State Circuits, vol. 26, pp. 542-548, 1991.
[29] M. Bauer, R. Alexis, G. Atwood, B. Baltar, A. Fazio, K. Frary, M. Hensel, M. Ishac, J. Javanifard, M. Landgraf, D. Leak, K. Loe, D. Mills, P. Ruby, R. Rozman, S. Sweha, S. Talreja, and K. Wojciechowski, “A multilevel-cell 32 Mb flash memory,” in IEEE International Solid-State Circuits Conference Dig. Tech. Papers, pp. 132-133, 351, 1995.
[30] T. Kawahara, T. Kobayashi, Y. Jyouno, S. i. Saeki, N. Miyamoto, T. Adachi, M. Kato, A. Sato, J. Yugami, H. Kume, and K. Kimura, “Bit-line clamped sensing multiplex and accurate high voltage generator for quarter-micron flash memories,” IEEE J. Solid-State Circuits, vol. 31, pp. 1590-1600, 1996.
[31] N. Otsuka and M. A. Horowitz, “Circuit techniques for 1.5-V power supply flash memory,” IEEE J. Solid-State Circuits, vol. 32, pp. 1217-1230, 1997.
[32] C. Chiu-Chiao, L. Hongchin, and L. Yen-Tai, “A novel high-speed sense amplifier for Bi-NOR flash memories,” IEEE J. Solid-State Circuits, vol. 40, pp. 515-522, 2005.
[33] A. Conte, G. L. Giudice, G. Palumbo, and A. Signorello, “A high-performance very low-voltage current sense amplifier for nonvolatile memories,” IEEE J. Solid-State Circuits, vol. 40, pp. 507-514, 2005.
[34] S. Myoung-Kyu, S. Soung-Hoon, O. Myoung-Hee, L. Hyo-Sang, K. Sang-Won, C. In-Wook, K. Gyu-Hong, and K. Moon-Gone, “A 130-nm 0.9-V 66-MHz 8-Mb (256K × 32) local SONOS embedded flash EEPROM,” IEEE J. Solid-State Circuits, vol. 40, pp. 877-883, 2005.
[35] K. Tsunoda, K. Kinoshita, H. Noshiro, Y. Yamazaki, T. Iizuka, Y. Ito, A. Takahashi, A. Okano, Y. Sato, T. Fukano, M. Aoki, and Y. Sugiyama, “Low power and high speed switching of Ti-doped NiO ReRAM under the unipolar voltage source of less than 3 V," in Proc. IEDM, pp. 767-770, 2007.
[36] T. Yuan Heng, H. Chia-En, C. H. Kuo, Y. D. Chih, and L. Chrong Jung, “High density and ultra small cell size of Contact ReRAM (CR-RAM) in 90nm CMOS logic technology and circuits,” in Proc. IEDM, pp. 1-4, 2009.
[37] H. Y. Lee, P. S. Chen, T. Y. Wu, Y. S. Chen, C. C. Wang, P. J. Tzeng, C. H. Lin, F. Chen, C. H. Lien, and M. J. Tsai, “Low power and high speed bipolar switching with a thin reactive Ti buffer layer in robust HfO2 based RRAM,” in Proc. IEDM, pp. 1-4, 2008.
[38] C. Yu-Sheng, W. Tai-Yuan, T. Pei-Jer, C. Pang-Shiu, L. Heng-Yuan, L. Cha-Hsin, F. Chen, and T. Ming-Jinn, “Forming-free HfO2 bipolar RRAM device with improved endurance and high speed operation,” in IEEE Symp.VLSI Technology Dig. Tech. Papers, pp. 37-38, 2009.
[39] Y. S. Chen, H. Y. Lee, P. S. Chen, P. Y. Gu, C. W. Chen, W. P. Lin, W. H. Liu, Y. Y. Hsu, S. S. Sheu, P. C. Chiang, W. S. Chen, F. T. Chen, C. H. Lien, and M. J. Tsai, “Highly scalable hafnium oxide memory with improvements of resistive distribution and read disturb immunity,” in Proc. IEDM, pp. 1-4, 2009.
[40] B. Gao, S. Yu, N. Xu, L. F. Liu, B. Sun, X. Y. Liu, R. Q. Han, J. F. Kang, B. Yu, and Y. Y. Wang, “Oxide-based RRAM switching mechanism: A new ion-transport-recombination model,” in Proc. IEDM, pp. 1-4, 2008.
[41] C. Cagli, D. Ielmini, F. Nardi, and A. L. Lacaita, “Evidence for threshold switching in the set process of NiO-based RRAM and physical modeling for set, reset, retention and disturb prediction,” in Proc. IEDM, pp. 1-4, 2008.
[42] B. Gao, H. W. Zhang, S. Yu, B. Sun, L. F. Liu, X. Y. Liu, Y. Wang, R. Q. Han, J. F. Kang, B. Yu, and Y. Y. Wang, “Oxide-based RRAM: Uniformity improvement using a new material-oriented methodology,” in IEEE Symp.VLSI Technology Dig. Tech. Papers, pp. 30-31, 2009.
[43] R. Stanley Williams, “How We Found the Missing Memristor” IEEE Spectrum, vol. 45, issue 12, pp. 28-35, 2008.
[44] H.-Y. Lee, Y.-S. Chen, P.-S. Chen, P.-Y. Gu, Y.-Y. Hsu, W.-H. Liu, W.-S. Chen, C. H. Tsai, F. Chen, C.-H. Lien, and M.-J. Tsai, “Comprehensively study of read disturb immunity and optimal read scheme for high speed HfOx based RRAM with a Ti layer,” in Symp. VLSI Technology Systems and Applications (VLSI-TSA), pp. 132-133, 2010.
[45] Yu-Yu Lin, Feng-Ming Lee, Yi-Chou Chen, Wei-Chih Chien, Chiao-Wen Yeh, Kuang-Yeu Hsieh, and Chih-Yuan Lu, “A Novel TiTe Buffered Cu-GeSbTe/SiO2 Electrochemical Resistive Memory (ReRAM),” in IEEE Symp.VLSI Technology Dig. Tech. Papers, pp. 91-92, 2010.
[46] T. Yuan Heng, H. Chia-En, C. H. Kuo, Y. D. Chih, and L. Chrong Jung, “High density and ultra small cell size of Contact ReRAM (CR-RAM) in 90nm CMOS logic technology and circuits,” in Proc. IEDM, pp. 1-4, 2009.
[47] L. Byoungil and H. S. P. Wong, “NiO resistance change memory with a novel structure for 3D integration and improved confinement of conduction path,” in IEEE Symp.VLSI Technology Dig. Tech. Papers, pp. 28-29, 2009.
[48] R. Meyer, L. Schloss, J. Brewer, R. Lambertson, W. Kinney, J. Sanchez, and D. Rinerson, “Oxide dual-layer memory element for scalable non-volatile cross-point memory technology,” in Symp. Nonvolatile Memory Technology Dig. Tech. Papers, pp. 1-5, 2008.
[49] L. Myoung-Jae, P. Youngsoo, K. Bo-Soo, A. Seung-Eon, L. Changbum, K. Kihwan, X. Wenxu, G. Stefanovich, L. Jung-Hyun, C. Seok-Jae, K. Yeon-Hee, L. Chang-Soo, P. Jong-Bong, and Y. In-Kyeong, “2-stack 1D-1R cross-point structure with oxide diodes as switch elements for high density resistance RAM applications,” in Proc. IEDM, pp. 771-774, 2007.
[50] C. Shine, H. Jiann-Tseng, P. Chen, and H. Fu-Lung, "A 512x8 electrical fuse memory with 15μm2 cells using 8-sq asymmetric fuse and core devices in 90nm CMOS," in IEEE Symp.VLSI Circuits Dig. Tech. Papers, pp. 74-75, Jun. 2007.
[51] S. Atsumi, A. Umezawa, T. Tanzawa, T. Taura, H. Shiga, Y. Takano, T. Miyaba, M. Matsui, H. Watanabe, K. Isobe, S. Kitamura, S. Yamada, M. Saito, S. Mori, and T. Watanabe, “A channel-erasing 1.8-V-only 32-Mb NOR flash EEPROM with a bitline direct sensing scheme,” IEEE J. Solid-State Circuits, vol. 35, pp. 1648-1654, Nov. 2000.
[52] B. Q. Le, M. Achter, C. Chin Ghee, G. Xin, L. Cleveland, C. Pau-Ling, M. Van Buskirk, and R. W. Dutton, “Virtual-ground sensing techniques for a 49-ns/200-MHz access time 1.8-V 256-Mb 2-bit-per-cell flash memory,” IEEE J. Solid-State Circuits, vol. 39, pp. 2014-2023, Nov. 2004.
[53] T. Ogura, M. Hosoda, T. Ogawa, T. Kato, A. Kanda, T. Fujisawa, S. Shimizu, and M. Katsumata, “A 1.8-V 256-Mb multilevel cell NOR Flash memory with BGO function,” IEEE J. Solid-State Circuits, vol. 41, pp. 2589-2600, Nov. 2006.
[54] C. J. Chevallier, S. Chang Hua, S. F. Lim, S. R. Namala, M. Matsuoka, B. L. Bateman, and D. Rinerson, “A 0.13μm 64Mb multi-layered conductive metal-oxide memory,” in IEEE International Solid-State Circuits Conference Dig. Tech. Papers, pp. 260-261, Feb. 2010.
[55] C. Meng-Fan and S. Shin-Jang, “A process variation tolerant embedded split-gate Flash memory using pre-stable current sensing scheme,” IEEE J. Solid-State Circuits, vol. 44, pp. 987-994, Mar. 2009.
(此全文未開放授權)
電子全文
摘要
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *