帳號:guest(3.144.189.177)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):趙堅如
作者(外文):Chao, Chien-Ju
論文名稱(中文):具快速動態電壓調整能力之高效率、低輸出電壓漣波的電源管理積體電路
論文名稱(外文):High Efficiency and Low Output Ripple Power Management IC with Fast Dynamic Voltage Scaling Capability
指導教授(中文):黃智方
指導教授(外文):Huang, Chih-Fang
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電機工程學系
學號:9761505
出版年(民國):99
畢業學年度:99
語文別:中文
論文頁數:65
中文關鍵詞:電源管理積體電路動態電壓調整切換式電源控制積體電路線性穩壓器
外文關鍵詞:Power Management ICDynamic Voltage ScalingSwitching Type Power Converter ICLinear Regulator
相關次數:
  • 推薦推薦:0
  • 點閱點閱:164
  • 評分評分:*****
  • 下載下載:22
  • 收藏收藏:0
本論文設計一個電源管理積體電路,具有快速動態電壓調整的能力,可以隨著不同的負載需求調整輸出電壓。此技術可以提升整體效率以達到延長移動型電子產品的電池壽命。此電源管理積體電路同時具有高效率低雜訊的特色,輸出相當穩定的電壓給敏感負載使用。
我們採用一個具高轉換效率的切換式電源供應器,串接一個具低電壓漣波優點的線性穩壓器來達到實作目標。切換式電源供應器採用固定責任週期的架構,而線性穩壓器的功率元件則選用N通道金氧半場效電晶體,達到高電源拒斥比與低線性跨壓的優點。根據模擬的結果,經由線性穩壓器串接的架構,可以將切換式電源供應器輸出電壓漣波下降至原本大小的2.74%,系統最高的轉換效率增加至87%,平均動態電壓調整速度為11.6us/0.3V。
本電源管理積體電路採用TSMC 0.35 um 2p4m製程製造,量測結果不如預期,其原因推斷為比較器發生單邊遲滯現象,並經由模擬驗證我們的想法。
In this paper, we design a power management IC (PMIC) with fast dynamic voltage scaling capability. The output voltage of this PMIC can be adjusted by controlling signals for different load requirements. With this technique, the overall conversion efficiency can be increased to extend the battery life in portable electronics. Moreover, this PMIC with high efficiency and low output voltage ripple supplies a stable output voltage to sensitive loads.
This PMIC topology is a switching type power converter cascading a linear regulator. The switching converter uses a constant on-time control method. And in the linear regulator circuit, an N-channel MOSFET is chosen in our design for high PSRR and low dropout voltage. From simulation results, cascading a linear regulator would decrease the output voltage ripple to 2.74%, raise the maximum efficiency to 87%, and cut down the average tracking speed to about 11.6us/0.3V.
This power management IC is implemented by TSMC 0.35 um 2p4m process. The measurement results are not as predicted by simulation because of the possible single hysteresis effect in the comparator, which is verified by simulation.
目錄
中文摘要 I
Abstract II
誌謝 III
目錄 IV
圖目錄 VI
表目錄 VIII

第一章 序論 1
1.1 前言 1
1.2 論文架構 2

第二章 直流直流電源管理積體電路 3
2.1 線性穩壓器 3
2.1.1 穩定度分析 4
2.1.2 暫態響應分析 8
2.2 切換式電源供應器 9
2.2.1 降壓型切換式電源供應器 10
2.2.2 功率消耗與效率分析 14
2.3 動態電壓調整系統 18

第三章 系統架構 21
3.1 切換式電源供應器設計 22
3.2 線性穩壓器設計 24

第四章 電路設計 27
4.1 脈波頻率調變控制電路 27
4.2 比較器電路 30
4.3 SR正反器電路 32
4.4 灰影時間產生與閘極驅動電路 33
4.5 過電流保護電路 34
4.6 參考電壓產生電路 37
4.7 運算放大器 40
4.8 參考電流源電路 41

第五章 模擬結果 44
5.1 輸出調變模擬結果 44
5.2 供應電壓變動模擬結果 45
5.3 負載電流變動模擬結果 46
5.4 輸出電壓漣波 47
5.5 電源轉換效率 49

第六章 量測結果 51
6.1 系統啟動量測結果 52
6.2 系統穩態量測結果 54
6.3 系統負載穩壓能力 57
6.4 系統動態電壓調整能力 59
6.5 系統效率 60

第七章 結論與未來展望 61
7.1 結論 61
7.2 未來展望 63


參考文獻 64


圖目錄

圖 2.1 線性穩壓器架構 4
圖 2.2 線性穩壓器功率消耗示意圖 4
圖 2.3 典型的P型通道金氧半場效電晶體線性穩壓器 6
圖 2.4 典型的線性穩壓器模型 6
圖 2.5 米勒電容補償法的線性穩壓器 8
圖 2.6 線性穩壓器暫態響應 9
圖 2.7 降壓型切換式電源供應器電路 10
圖 2.8 連續導通模式下降壓型切換式電源供應器 11
圖 2.9 邊界條件下之電感電流波形 12
圖 2.10 非連續導通模式下降壓型切換式電源供應器 13
圖 2.11 降壓型切換式電源供應器輸出電壓漣波波形 14
圖 2.12 脈波寬度調變控制方塊圖 17
圖 2.13 脈波寬度調變示意圖 17
圖 2.14 脈波頻率調變輸出電壓與責任週期波形 17
圖 2.15 動態電壓調整系統之電感電流與輸出電壓變化波形 19
圖 3.1 系統方塊圖 22
圖 3.2 切換式電源供應器系統方塊圖 23
圖 3.3 脈波頻率調變比較器動作波形圖 23
圖 3.4 受電源雜訊影響輸出電壓示意圖 25
圖 3.5 N-type Power MOS LDO電壓示意圖 26
圖 4.1 脈波頻率調變控制電路圖 29
圖 4.2 脈波頻率調變模擬波形 30
圖 4.3 比較器電路圖 31
圖 4.4 比較器模擬波形 31
圖 4.5 SR正反器電路圖 32
圖 4.6 灰影時間產生與閘極驅動電路電路圖 33
圖 4.7 灰影時間模擬波形 34
圖 4.8 未加過電流保護電路的輸出電壓與電感電流波形 34
圖 4.9 電流保護電路與降壓型切換式電源供應器電路圖 36
圖 4.10 下橋導通時電流保護電路動作示意圖 36
圖 4.11 電流保護電路模擬波形 36
圖 4.12 參考電壓產生電路 38
圖 4.13 2對4解碼器模擬波形 39
圖 4.14 參考電壓產生電路模擬波形 39
圖 4.15 運算放大器電路圖 40
圖 4.16 參考電流源電路圖 43
圖 5.1 動態電壓調整模擬結果 44
圖 5.2 供應電壓變動模擬結果 45
圖 5.3 負載電流改變對於輸出電壓變化的模擬結果 46
圖 5.4 輸出電壓漣波 48
圖 5.5 輸入電壓3.5V、輸出電壓1.8V的轉換效率比較圖 49
圖 5.6 系統在不同輸出電壓下的轉換效率 50
圖 6.1 系統晶片打線圖 51
圖 6.2 外部量測電路實體圖 51
圖 6.3 系統啟動波形 53
圖 6.4 系統穩態波形 56
圖 6.5 系統負載電流抽載的輸出電壓波形 57
圖 6.6 系統負載電流卸載的輸出電壓波形 58
圖 6.7 系統動態電壓調整量測波形 59
圖 6.8 系統效率量測結果 60
圖 7.1 系統輸出電壓量測波形 61
圖 7.2 單邊遲滯比較器輸出波形示意圖 62
圖 7.3 加入遲滯現象的系統模擬波形 63



表目錄

表 4.1 2對4解碼器真值表 38
表 5.1 電壓調整追蹤反應整理 44
表 5.2 供應電壓變動反應整理 45
表 5.3 輸出電壓漣波 49
[1] Chaitanya K. Chava and Jose Silva-Martinez, “A Frequency Compensation Scheme for LDO Voltage Regulators,” IEEE Transactions on Circuits and Systems, vol. 51, no. 6, pp. 1041-1050, 2004.
[2] Ka Nang Leung, Philip K.T. Mok and Wing Hung Ki, ”A Novel Frequency Compensation Technique for Low-voltage Low-dropout Regulator,” IEEE International Symposium on Circuits and Systems, vol. 5, pp. 102-105, 1999.
[3] Ned Mohan, Tore M. Undeland, William P. Robbins, Power Electronics 3rd Edition, 2003.
[4] Ke-Horng Chen, Chien-Ching Chien, Hish-Hish Ho and Li-Ren Huang, ”Optimum Power-Saving Method for Power MOSFET Width of One-Cycle Control DC/DC Converter,” IEEE Power Electronic Specialists Conference, pp. 1-5, 18-22 June 2006.
[5] Robert W. Erickson and Dragan Maksimovic, Fundamentals of Power Electronics 2nd Edition, 2000.
[6] “RT8202/A/B Single Synchronous Buck Controller Datasheet”, Richtek Technology Corporation, 2008.
[7] Chung-Tai Cheng, “Implementation of Highly-efficiency and Low Noise Power Management Integrated Chip”, Master thesis, National Chiao Tung University, 2005.
[8] Neil H. E. Weste and David Harris, “COMS VLSI Design 3rd Edition”,2005.
[9] Feng Su, Wing-Hung Ki and Chi-Ying Tsui, “Ultra Fast Fixed-Frequency Hysteretic Buck Converter With Maximum Charging Current Control and Adaptive Delay Compensation for DVS Applications”, IEEE Journal of Solid-State Circuits, vol. 43, no. 4, April 2008.
[10] Wei-Jen Huang and Shen-Iuan Liu, “A Sub-1V Low-Dropout Regulator with an On-chip Voltage Reference”, IEEE Asian Solid-State Circuits Conference, November 3-5, 2008.
[11] Yung-Hsin Lin, Kuo-Lin Zheng and Ke-Horng Chen, “Smooth Pole Tracking Technique by Power MOSFET Array in Low-Dropout Regulators”, IEEE Transactions On Power Electronics, vol. 23, no. 5, September 2008.
[12] Sai Kit Lau, Ka Nang Leung and Philip K. T. Mok, “Analysis of Low-Voltage Regulation” , IEEE Conference On Electron Device and Solid-State Circuits, 2003.
[13] Po-Yu Kuo, Dian Zhou and Zhi-Ming Lin, “A Low-Dropout Regulator with Low ESR, Low Line Regulation and High Currency Efficiency Using Low Output-Resistance Voltage Buffer” , IEEE Conference On Electron Device and Solid-State Circuits, 2007.
[14] Chia-Hsiang Lin, Ke-Horng Chen and Hong-Wei Huang, “Low-Dropout Regulators with Adaptive Regerence Control and Dynamic Push-Pull Techniques for Enhancing Transient Performance”, IEEE Transactions On Power Electronics, vol. 24, no. 4, April 2009.
[15] Peter Hazucha, Tanay Karnik, Bradley A.Bloechel, Colleen Parsons, David Finan and Shekhar Borkar, “Area-Efficient Linear Regulator With Ultra-Fast Load Regulation”, IEEE Journal of Solid-State Circuits, vol. 40, no. 4, April 2005.
[16] Adel S. Sedra and Kenneth C. Smith, Microelectronic Circuits 5th Edition, 2004.
[17] Cheung Fai Lee and Philip K. T. Mok, “A Monolithic Current-Mode CMOS DC-DC Converter With On-Chip Current-Sensing Technique”, IEEE Journal of Solid-State Circuits, vol. 39, no. 1, January 2004.
[18] P. E. Allen and D. R. Holberg, CMOS Analog Circuit Design 2nd Edition, 2002.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *