帳號:guest(3.93.59.171)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):鄭智文
作者(外文):Cheng, Chih-Wen
論文名稱(中文):應用於低功率內嵌式動態隨機存取記憶體之溫度察覺自我刷新控制方案
論文名稱(外文):Temperature-Aware Self-Refresh Control Scheme for Low Power Embedded-DRAM
指導教授(中文):張孟凡
指導教授(外文):Chang, Meng-Fan
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電機工程學系
學號:9661621
出版年(民國):99
畢業學年度:98
語文別:英文
論文頁數:94
中文關鍵詞:漏電流內嵌式動態隨機存取記憶體自我刷新溫度察覺低功率
外文關鍵詞:leakageEmbedded-DRAMself-refreshtemperature awarelow power
相關次數:
  • 推薦推薦:0
  • 點閱點閱:143
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
由於成本效益比靜態隨機存取記憶體高以及隨機存取速度比快閃記憶體更為快速的優點,嵌入式動態隨機存取記憶體被廣泛應用於多數的電子產品當中。然而對於系統單晶片而言,持續上升的功率消耗是一個存在的大問題。因此低功率消耗的研究議題應該要被考慮到晶片設計當中。對於內嵌式動態隨機存取記憶體而言,利用傳統制訂的自我刷新週期是為了要確保已經寫入的資料能夠被完整地保存於記憶胞陣列當中。但也因此當處於室溫狀況的時候,記憶胞的資料保存時間將可以比高溫環境下的保存時間還要能夠延伸至更久。因此在室溫的情況下,利用傳統的自我刷新週期將會有額外的資料保存功率消耗。
為了能夠降低在較為低溫狀況時的資料保存功率消耗,我們提出了溫度察覺自我刷新方案有效地去延長資料保存週期。藉由針對複製的記憶胞陣列做離散時間且動態的追蹤,以達成在不同的溫度下可以利用二的次方之運算方式去延長原先規格所制定的自我刷新週期。
最後我們提出的溫度察覺自我刷新方案,以六十五奈米內嵌式動態隨機存取記憶體且低漏電的製程技術和一個由八百萬字元(8Mb)所組成的內嵌式動態隨機存取記憶體電路建構在一起。量測結果顯示對於資料保存功率損耗的交流部分,於室溫下的環境下可以節省高達百分之九十五點九二的功率損耗。
Embedded-DRAMs are widely used in many electronic products due to its more cost-effective than SRAM and its faster read/write random access than FLASH. However, increasingly large power consumption is a big problem in SOC system. For this reason, low power design issue should be taken into consideration. For embedded-DRAM, the stored data should be confirmed to retain in cell array with conventional period in self-refresh mode. But at room temperature, the cell data retention time will extend much longer than that in higher temperature condition. Thus, there is an additional AC component of data retention power at room temperature with conventional period.
To solve this problem, we propose a temperature-aware self-refresh control scheme to extend self-refresh period in lower temperature condition. By using discrete-time dynamic tracking to detect replica cell array, conventional self-refresh period can be extended by power function of two with various temperatures.
We apply our design in 65nm EDRAM low leakage process within an 8Mb eDRAM macro. The experiment results show that, 95.92% reduction of AC component of data retention power can achieve at room temperature.
Contents
Abstract (Chinese)
Abstract (English)
Acknowledgements (Chinese)
Contents i
List of Figures iv
List of Tables ix
Chapter 1 Introduction 1
1.1 Low Power Embedded-DRAM Applications 1
1.2 Challenges of Low Power Embedded-DRAM 3
1.3 Basic Operations of DRAM 4
1.3.1 Read Operation 5
1.3.2 Write Operation 6
1.3.3 Refresh Operation 7
1.4 Structure of This Thesis 8
Chapter 2 Leakage and Temperature Dependency 10
2.1 MOSFET Leakage Mechanisms 10
2.1.1 Sub-threshold Current (I1) 11
2.1.2 Gate-Induced Drain Leakage (I2) 13
2.1.3 Gate-Oxide Tunneling Current (I3) 15
2.1.4 Hot carrier injection Current (I4) 17
2.1.5 Reverse-Biased Junction BTBT Current (I5) 19
2.1.6 Punch-Through Current (I6) 20
2.2 Leakage with Temperature Dependency 21
Chapter 3 Design Issues in Low Power Self-Refresh Mode 23
3.1 Cell data retention 23
3.1.1 Cell Structure 23
3.1.2 Data Retention Time 27
3.2 Power Consumption 30
3.3 Conventional Self-Refresh Mode 31
3.4 Temperature Dependency in Self-Refresh Mode 32
3.4.1 Retention Time with Temperature Dependency 33
3.4.2 Power Dissipation with Temperature Dependency 34
3.5 Previous Works 36
3.5.1 Replica-Cell based Self-Refresh Control Scheme 36
3.5.2 Sensor Based Self-Refresh Control Scheme 40
3.5.3 Temperature Sensor 44
Chapter 4 Proposed Scheme 47
4.1 Motivation of Proposed TASFR control Scheme 47
4.2 Structure of Proposed TASFR Control Scheme 48
4.2.1 Replica Cell Array Structure 49
4.2.2 Differential Sampling Structure 50
4.2.3 Adaptive Refresh Period Structure 51
4.3 Algorithm of Proposed TASFR Control Scheme 52
Chapter 5 Design considerations and Analyses 57
5.1 Design issues 57
5.1.1 Short Channel Effects (SCE) 58
5.1.2 Process Variations 60
5.2 Design considerations 62
5.2.1 Resolution 63
5.2.2 Resistor ladder 65
5.2.3 Comparator 68
5.3 Analyses of Proposed TASFR Control Scheme 70
5.3.1 Adaptive Refresh Period 71
5.3.2 Power Reduction in Self-Refresh mode 75
Chapter 6 Macro Implementation 76
6.1 Macro of Embedded-DRAM 76
6.1.1 Memory Cell Arrays 77
6.1.2 Peripheral Circuits 78
6.1.3 I/O Interface Circuits 79
6.2 Test Chip Design 80
Chapter 7 Measurement Results and Conclusions 82
7.1 Measurement Results 82
7.2 Summary and Conclusions 86
7.3 Future Works 89
[1] Y. Taito, et al., "A high density memory for SoC with a 143MHz SRAM interface using sense-synchronized-read/write," presented at the IEEE International Solid-State Circuits Conference Digest of Technical Papers, 2003.
[2] S. Jae-Yoon, et al., "A 1.8-V 128-Mb mobile DRAM with double boosting pump, hybrid current sense amplifier, and dual-referenced adjustment scheme for temperature sensor," IEEE Journal of Solid-State Circuits, vol. 38, pp. 631-640, 2003.
[3] A. Valero, et al., "An hybrid eDRAM/SRAM macrocell to implement first-level data caches," presented at the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, 2009.
[4] S. Tomishima, et al., "A 1.0-V 230-MHz Column Access Embedded DRAM for Portable MPEG Applications," IEEE Journal of Solid-State Circuits, vol. 36, pp. 1728-1737, 2001.
[5] S. Tomishima, et al., "A 1.0 V 230 MHz column-access embedded DRAM macro for portable MPEG applications," in IEEE International Solid-State Circuits Conference, 2001, pp. 384-385, 469.
[6] K. Itoh, VLSI Memory Chip Design: Springer, 2001.
[7] K. Itoh, et al., Ultra-Low Voltage Nano-Scale Memories: Springer, 2007.
[8] K. Zhang, Embedded Memories for Nano-Scale VLSIs: Springer, 2009.
[9] A. Wang, et al., Subthreshold Design for Ultra Low-Power Systems: Springer-Verlag, 2007.
[10] B. L. Anderson and R. L. Anderson, Fundamentals of Semiconductor Devices: McGraw-Hill, 2005.
[11] H. Falk, "Prolog to: Leakage current mechanisms and leakage reduction techniques in deep-submicrometer cmos circuits," Proceedings of the IEEE, vol. 91, pp. 303-304, 2003.
[12] K. Roy, et al., "Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits," Proceedings of the IEEE, vol. 91, pp. 305-327, 2003.
[13] S. Mukhopadhyay, et al., "Modeling and analysis of loading effect on leakage of nanoscaled bulk-CMOS logic circuits," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 25, pp. 1486-1495, 2006.
[14] H. Takato, "Embedded DRAM Technologies," in Proceeding of the 30th EuropeanSolid-State Device Research Conference, 2000, pp. 13-18.
[15] E. Gerritsen, et al., "Evolution of materials technology for stacked-capacitors in 65 nm embedded-DRAM," Solid-State Eletronics, vol. 14, pp. 1767-1775, 2005.
[16] H. Ishiuchi, et al., "Embedded DRAM technologies," in International Electron Devices Meeting Technical Digest, 1997, pp. 33-36.
[17] H. Takato, "Embedded DRAM Technologies," presented at the Proceeding of the 30th EuropeanSolid-State Device Research Conference, 2000.
[18] K. Itoh, et al., "VLSI memory technology: Current status and future trends," presented at the Proceedings of the 25th European Solid-State Circuits Conference, 1999.
[19] P. W. Diodato, "Embedded DRAM: more than just a memory," IEEE Communications Magazine, vol. 38, pp. 118-126, 2000.
[20] D. Somasekhar, et al., "2GHz 2Mb 2T Gain-Cell Memory Macro with 128GB/s Bandwidth in a 65nm Logic Process," presented at the IEEE International Solid-State Circuits Conference Digest of Technical Papers, 2008.
[21] D. Somasekhar, et al., "2 GHz 2 Mb 2T Gain Cell Memory Macro With 128 GBytes/sec Bandwidth in a 65 nm Logic Process Technology," IEEE Journal of Solid-State Circuits, vol. 44, pp. 174-185, 2009.
[22] W. K. Luk and R. H. Dennard, "2T1D memory cell with voltage gain," presented at the Symposium on VLSI Circuits Digest of Technical Papers, 2004.
[23] C. Mu-Tien, et al., "A 65nm low power 2T1D embedded DRAM with leakage current reduction," presented at the IEEE International SOC Conference, 2007.
[24] W. K. Luk, et al., "A 3-Transistor DRAM Cell with Gated Diode for Enhanced Speed and Retention Time," presented at the Symposium on VLSI Circuits Digest of Technical Papers, 2006.
[25] L. Xiaoyao, et al., "Process Variation Tolerant 3T1D-Based Cache Architectures," presented at the IEEE/ACM International Symposium on Microarchitecture, 2007.
[26] L. Xiaoyao, et al., "Replacing 6T SRAMs with 3T1D DRAMs in the L1 Data Cache to Combat Process Variability," IEEE Micro, vol. 28, pp. 60-68, 2008.
[27] Y. Mori, et al., "New Method for Evaluating Electric Field at Junctions of DRAM Cell Transistors by Measuring Junction Leakage Current," IEEE Transactions on Electron Devices, vol. 56, pp. 252-259, 2009.
[28] H. Tanaka, et al., "A Precise On-Chip Voltage Generator for a Gigascale DRAM with a Negative Word-Line Scheme," IEEE Journal of Solid-State Circuits, vol. 34, pp. 1084-1090, 1999.
[29] Y. Tsukikawa, et al., "An efficient back-bias generator with hybrid pumping circuit for 1.5-V DRAMs," IEEE Journal of Solid-State Circuits, vol. 29, pp. 534-538, 1994.
[30] M. Kyeong-Sik and C. Jin-Yong, "A fast pump-down VBB generator for sub-1.5-V DRAMs," IEEE Journal of Solid-State Circuits, vol. 36, pp. 1154-1157, 2001.
[31] K. Sato, et al., "A 4-Mb pseudo SRAM operating at 2.6±1 V with 3μA data retention current," IEEE Journal of Solid-State Circuits, vol. 26, pp. 1556-1562, 1991.
[32] H. Yamauchi, et al., "A circuit technology for a self-refresh 16 Mb DRAM with less than 0.5 μA/MB data-retention current," IEEE Journal of Solid-State Circuits, vol. 30, pp. 1174-1182, 1995.
[33] W. Chua-Chin, et al., "A Temperature-Insensitive Self-Recharging Circuitry Used in DRAMs," IEEE Transactions on VLSI Systems, vol. 13, pp. 405-408, 2005.
[34] T. Tung-Han, et al., "Power-Saving Nano-scale DRAMs with an Adaptive Refreshing Clock Generator," presented at the IEEE International Symposium on Circuits and Systems, 2008.
[35] Y. Kagenishi, et al., "Low power self refresh mode DRAM with temperature detecting circuit," presented at the Symposium on VLSI Circuits Digest of Technical Papers, 1993.
[36] K. Sohn, et al., "An autonomous SRAM with on-chip sensors in an 80-nm double stacked cell technology," IEEE Journal of Solid-State Circuits, vol. 41, pp. 823-830, 2006.
[37] K. Jung Pill, et al., "A low-power 256-Mb SDRAM with an on-chip thermometer and biased reference line sensing scheme," IEEE Journal of Solid-State Circuits, vol. 38, pp. 329-337, 2003.
[38] A. Bakker and J. H. Huijsing, "Micropower CMOS temperature sensor with digital output," IEEE Journal of Solid-State Circuits, vol. 31, pp. 933-937, 1996.
[39] M. A. P. Pertijs, et al., "A high-accuracy temperature sensor with second-order curvature correction and digital bus interface," presented at the IEEE International Symposium on Circuits and Systems, 2001.
[40] M. A. P. Pertijs, et al., "A CMOS smart temperature sensor with a 3σ inaccuracy of ±0.1°C from -55°C to 125°C," IEEE Journal of Solid-State Circuits, vol. 40, pp. 2805-2815, 2005.
[41] M. A. P. Pertijs, et al., "A CMOS smart temperature sensor with a 3σ inaccuracy of ±0.5°C from -50°C to 120°C," IEEE Journal of Solid-State Circuits, vol. 40, pp. 454-461, 2005.
[42] C. Qikai, et al., "A CMOS thermal sensor and its applications in temperature adaptive design," presented at the IEEE International Symposium on Quality Electronic Design, 2006.
[43] M. Hashimoto and R. Baumann, "Investigation of cell leakage and data retention in eDRAM," presented at the Proceedings of the 26th European Solid-State Circuits Conference, 2000.
[44] K. Joohee and M. C. Papaefthymiou, "Block-based multiperiod dynamic memory design for low data-retention power," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 11, pp. 1006-1018, 2003.
[45] K. Tae-Hyoung, et al., "Utilizing Reverse Short-Channel Effect for Optimal Subthreshold Circuit Design," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 15, pp. 821-829, 2007.
[46] B. Razavi, Design of Analog CMOS Integrated Circuits McGraw-Hill Science, 2001.
[47] K. Takeuchi, et al., "Understanding Random Threshold Voltage Fluctuation by Comparing Multiple Fabs and Technologies," presented at the IEEE International Electron Devices Meeting, 2007.
[48] B. Wicht, et al., "Yield and speed optimization of a latch-type voltage sense amplifier," IEEE Journal of Solid-State Circuits, vol. 39, pp. 1148-1158, 2004.
[49] A. Nikoozadeh and B. Murmann, "An Analysis of Latch Comparator Offset Due to Load Capacitor Mismatch," IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 53, pp. 1398-1402, 2006.
[50] M. C. T. Chao, et al., "Fault models for embedded-DRAM macros," presented at the 46th ACM/IEEE Design Automation Conference, 2009.
[51] M. Aoki, et al., "A 60-ns 16-Mbit CMOS DRAM with a transposed data-line structure," IEEE Journal of Solid-State Circuits, vol. 23, pp. 1113-1119, 1988.
[52] C. M. Chang, et al., "Testing Methodology of Embedded DRAMs," presented at the IEEE International Test Conference, 2008.
[53] Y. Nakagome, "Voltage regulator design for low voltage DRAMs," presented at the Symposium on VLSI Circuits, Memory Design Short Course, 1998.
[54] N. C. C. Lu and H. H. Chao, "Half-VDD bit-line sensing scheme in CMOS DRAMs," IEEE Journal of Solid-State Circuits, vol. 19, pp. 451-454, 1984.
[55] S. H. Dhang, et al., "High-speed sensing scheme for CMOS DRAMs," IEEE Journal of Solid-State Circuits, vol. 23, pp. 34-40, 1988.
[56] S. Romanovsky, et al., "A 500MHz Random-Access Embedded 1Mb DRAM Macro in Bulk CMOS," presented at the IEEE International Solid-State Circuits Conference Digest of Technical Papers, 2008.
[57] J. Barth, et al., "A 300 MHz multi-banked eDRAM macro featuring GND sense, bit-line twisting and direct reference cell write," in IEEE International Solid-State Circuits Conference Digest of Technical Papers, 2002, pp. 156-157 vol.1.
[58] H. Pilo, et al., "A 5.6 ns random cycle 144 Mb DRAM with 1.4 Gb/s/pin and DDR3-SRAM interface," presented at the IEEE International Solid-State Circuits Conference Digest of Technical Papers, 2003.
[59] H. Pilo, et al., "A 5.6-ns random cycle 144-Mb DRAM with 1.4 Gb/s/pin and DDR3-SRAM interface," IEEE Journal of Solid-State Circuits, vol. 38, pp. 1974-1980, 2003.
[60] J. Barth, et al., "A 500MHz multi-banked compilable DRAM macro with direct write and programmable pipelining," presented at the IEEE International Solid-State Circuits Conference Digest of Technical Papers, 2004.
[61] J. E. Barth, Jr., et al., "A 500-MHz Multi-Banked Compilable DRAM Macro with Direct Write and Programmable Pipelining," IEEE Journal of Solid-State Circuits, vol. 40, pp. 213-222, 2005.
[62] J. Barth, et al., "A 500MHz Random Cycle 1.5ns-Latency, SOI Embedded DRAM Macro Featuring a 3T Micro Sense Amplifier," presented at the IEEE International Solid-State Circuits Conference Digest of Technical Papers, 2007.
[63] J. Barth, et al., "A 500 MHz Random Cycle, 1.5 ns Latency, SOI Embedded DRAM Macro Featuring a Three-Transistor Micro Sense Amplifier," IEEE Journal of Solid-State Circuits, vol. 43, pp. 86-95, 2008.
[64] Y. Idei, et al., "Dual-period self-refresh scheme for low-power DRAM's with on-chip PROM mode register," IEEE Journal of Solid-State Circuits, vol. 33, pp. 253-259, 1998.
(此全文未開放授權)
電子全文
摘要
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *